Verilog HDL coding style

Posted on 2015-04-21 10:12 in IC • Tagged with Verilog, coding style

参考网上的资料和书籍,总结一份自己的 Coding Style Guide


Continue reading